Четырехразрядный мультиплексор – Знакомство с принципом работы мультиплексора и демультиплексора; получение навыков работы по определению временных диаграмм и составлению таблиц соответствия

Содержание

Знакомство с принципом работы мультиплексора и демультиплексора; получение навыков работы по определению временных диаграмм и составлению таблиц соответствия

ЛАБОРАТОРНАЯ РАБОТА №1

МУЛЬТИПЛЕКСОРЫ И ДЕМУЛЬТИПЛЕКСОРЫ

Цель работы: знакомство с принципом работы мультиплексора и демультиплексора; получение навыков работы по определению временных диаграмм и составлению таблиц соответствия.

ОСНОВНЫЕ ПОНЯТИЯ

Мультиплексоры и демультиплексоры – логические схемы, осуществляющие многопозиционную коммутацию цифровых сигналов.

Мультиплексор – логическая схема, осуществляющая коммутацию цифровых сигналов с одного входа на один из нескольких выходов, в зависимости от состояния адресных входов (кода адреса).

Демультиплексор – логическая схема, осуществляющая коммутацию цифровых сигналов с одного из нескольких входов на один выход, в зависимости от состояния адресных входов (кода адреса).

Входы: информационные; адресные и разрешающие (стробирующие) (служебные).

Выходы: информационные (прямые и/или инверсные).

Мультиплексоры могут быть объединены для наращивания разрядности. 2 способа: в пирамидальную схему либо последовательным соединением разрешающих входов и внешних логических элементов. Так же могут быть объединены демультиплексоры.

ИСХОДНЫЕ ДАННЫЕ

Мультиплексор:

1) 0110

2) 10010011

Демультиплексор:

1) 1000

2) 10010001

РЕЗУЛЬТАТЫ ПРОВЕДЕНИЯ РАБОТЫ

Таблицы соответствия:

Таблица 1 – четырехразрядный мультиплексор:

Двоичный код числа на входе мультиплексора

№ тактового импульса

Адресный вход

Х1

Адресный вход

Х2

Выход

У

D0

D1

D2

D3

0

1

1

0

1

0

0

0

0

1

1

0

2

0

1

1

0

1

1

0

3

1

0

1

0

1

1

0

4

1

1

0

Таблица 2 – восьмиразрядный  мультиплексор:

Двоичный код числа на входе мультиплексора

№ тактового импульса

Адресный вход

Х1

Адресный вход

Х2

Адресный вход

Х3

Выход

У

D0

D1

D2

D3

D4

D5

D6

D7

1

0

0

1

0

0

1

1

1

0

0

0

1

1

0

0

1

0

0

1

1

2

0

0

1

0

1

0

0

1

0

0

1

1

3

0

1

0

0

1

0

0

1

0

0

1

1

4

0

1

1

1

1

0

0

1

0

0

1

1

5

1

0

0

0

1

0

0

1

0

0

1

1

6

1

0

1

0

1

0

0

1

0

0

1

1

7

1

1

0

1

1

0

0

1

0

0

1

1

8

1

1

1

1

Таблица 3 – четырехразрядный демультиплексор:

Двоичный код числа на входе мультиплексора

№ тактового импульса

Адресный вход

Х1

Адресный вход

Х2

Выходы

У0

У1

У2

У3

1

1

0

0

0

1

1

1

0

2

0

1

1

1

1

1

0

3

1

0

1

1

1

1

0

4

1

1

1

1

1

1

Таблица 4 – восьмиразрядный демультиплексор:

Двоичный код числа на входе мультиплексора

№ тактового импульса

Адресный вход

Х1

Адресный вход

Х2

Адресный вход

Х3

Выходы

У0 У1 У2 У3 У4 У5 У6 У7

1

1

0

0

0

0    1    1    1    1    1    1    1

0

2

0

0

1

1    1    1    1    1    1    1    1

0

3

0

1

0

1    1    1    1    1    1    1    1

1

4

0

1

1

1    1    1    0    1    1    1    1

0

5

1

0

0

1    1    1    1    1    1    1    1

0

6

1

0

1

1    1    1    1    1    1    1    1

0

7

1

1

0

1    1    1    1    1    1    1    1

1

8

1

1

1

1    1    1    1    1    1    1    0


Временные диаграммы:

Диаграмма 1 – четырехразрядный  мультиплексор:


Диаграмма 2 – восьмиразрядный  мультиплексор:


Диаграмма 3 – четырехразрядный  демультиплексор:

Диаграмма 4 – восьмиразрядный  демультиплексор:

Вывод. В процессе выполнения работы мы на практике познакомились с работой мультиплексора и демультиплексора, а также получили практические навыки по составлению временных диаграмм и таблиц соответствия.

vunivere.ru

Мультиплексоры и демультиплексоры 2

Цель работы: Знакомство с принципом работы мультиплексора и демультиплексора. Получение навыков работы по определению временных диаграмм и составлению таблиц соответствия.

Описание работы стенда

Лицевая панель стенда с помощью пунктирной линии разделена на четыре части, каждая часть подписана: MS1, MS2 – мультиплексоры, DMS1, DMS2 – демультиплексоры. Все переключатели в правом положении подают на входы микросхем логическую единицу, в левом – логический ноль. Все переключатели имеют обозначение: D – информационные входы, Х – входы адреса, С – разрешающие входы. Выходы микросхем обозначены буквой Y. Цифры при буквах обозначают разряд (цифра больше – разряд старше и наоборот). На выходах микросхем установлены светодиоды.

Для включения стенда необходимо переключатель “Сеть” перевести в положение “Вкл.”, при этом должен загореться зеленый светодиод “Питание”

1) Рассмотрим работу мультиплексоров.

Рис.1 Четырехвходовой мультиплексор

Мультиплексор MS1 имеет четыре информационных входа D0 – D3, два адресных входа Х2, Х1 и вход разрешения С.

Например: подадим на вход D1 сигнал “1”. Чтобы он был скоммутирован на выход Y, необходимо на входах адреса Х2 Х1 набрать двоичное число 01 и подать на разрешающий вход С = 0, так как стробирующий вход С мультиплексора MS1 с инверсией и активным является низкий уровень. В подтверждение правильности набранного адреса на выходе Y загорается светодиод.

Рис.2 Восьмивходовой мультиплексор

Мультиплексор MS2 работает аналогично мультиплексору MS1, отличием является отсутствие входа разрешения С. Мультиплексор содержит восемь информационных входов D0 – D7 и три адресных входа Х1, Х2, Х3.

Например: для получения на выходе сигнала с информационного входа D5, необходимо на адресных входах Х3 Х2 Х1 набрать двоичное число 1 0 1. Если операция выполнена правильно, на выходе Y загорится светодиод.

2) Рассмотрим работу демультиплексоров.

Демультиплексор DMS1 содержит один информационный вход D, два входа адреса Х2, Х1 и вход разрешения С.

Выходы Y0 – Y3 у демультиплексора с инверсией, поэтому при не работающем демультиплексоре, на всех его выходах будут гореть светодиоды, а при переключении входного сигнала на один из выходов светодиод на этом выходе погаснет.

Например: для получения сигнала на выходе Y2, необходимо на вход D подать “1”, на вход С – “0” и на входах адреса Х2 Х1 набрать двоичное число 10. Светодиод на выходе Y2 должен погаснуть.

Демультиплексор DMS2 содержит один информационный вход D, три адресных входа Х1-Х3 и восемь выходов Y0 -Y7. Он работает аналогично DMS1. Например: для того, чтобы получить единичный сигнал на выходе Y6, необходимо на вход D подать “1”, а на входы адреса Х3 Х2 Х1 подать двоичное число 110 . Светодиод на выходе Y6 должен погаснуть.

Таблицы переключательных функций

Таблица 1 – четырехразрядный мультиплексор

Двоичный код числа на входе мультиплексора D0 D1 D2 D3

№ тактового импульса

Адресный вход
Х1
Адресный вход
Х2

Выход

У

р0

11

1

1

1

2

3

4

0

0

1

1

0

1

0

1

0

1

1

1

Таблица 2 – восьмиразрядный мультиплексор

Двоичный код числа на входе мультиплексора D0 D1 D2 D3 D4 D5 D6 D7

№ тактового импульса

Адресный вход
Х1
Адресный вход
Х2
Адресный вход
Х3
Выход
У

11

00

00

11

11

11

11

00

1

2

3

4

5

6

7

8

0

0

0

0

1

1

1

1

0

0

1

1

0

0

1

1

0

1

0

1

0

1

0

1

1

0

0

1

1

1

1

0

Таблица 3 – четырехразрядный демультиплексор

Двоичный код числа на входе мультиплексора D0 D1 D2 D3

№ тактового импульса

Адресный вход
Х1
Адресный вход
Х2

Выходы

У0У1У2У3

11

0

0

0

1

2

3

4

0

0

1

1

0

1

0

1

Таблица 4 – восьмиразрядный демультиплексор

Двоичный код числа на входе мультиплексора D0 D1 D2 D3 D4 D5 D6 D7

№ тактового импульса

Адресный вход
Х1
Адресный вход

Х2

Адресный вход

Х3

Выходы

У0У1У2У3У4У5У6У7

00

11

00

00

1

0

0

0

1

2

3

4

5

6

7

8

0

0

0

0

1

1

1

1

0

0

1

1

0

0

1

1

0

1

0

1

0

1

0

1

Временные диаграммы

Четырехразрядный мультиплексор

Восьмиразрядный мультиплексор

Четырехразрядный демультиплексор

Восьмиразрядный демультиплексор

Вывод: в процессе выполнения лабораторной работы ознакомились с принципом работы мультиплексора и демультиплексора. Получили навыки работы по определению временных диаграмм и составлению таблиц соответствия.

БАЛАКОВСКИЙ ИНСТИТУТ ТЕХНИКИ ТЕХНОЛОГИИ И УПРАВЛЕНИЯ

ИНЖЕНЕРНО – СТРОИТЕЛЬНЫЙ ФАКУЛЬТЕТ

КАФЕДРА УПРАВЛЕНИЕ И ИНФОРМАТИКА В ТЕХНИЧЕСКИХ СИСТЕМАХ

по дисциплине

Вычислительные машины, системы, сети.

Мультиплексоры и демультиплексоры.

Выполнил ст. гр. УИТ-33

Принял доцент каф. УИТ

”__”_____________2002г.

2002

studfiles.net

Мультиплексоры и демультиплексоры 7

САРАТОВСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ

БАЛАКОВСКИЙ ИНСТИТУТ ТЕХНИКИ, ТЕХНОЛОГИИ И УПРАВЛЕНИЯ

ФАКУЛЬТЕТ ИНЖЕНЕРНО-СТРОИТЕЛЬНЫЙ

КАФЕДРА «УПРАВЛЕНИЕ И ИНФОРМАТИКА В ТЕХНИЧЕСКИХ СИСТЕМАХ»

ЛАБОРАТОРНАЯ РАБОТА №3

по дисциплине «ВМСС»

МУЛЬТИПЛЕКСОРЫ И ДЕМУЛЬТИПЛЕКСОРЫ

Выполнил:

Принял:

2011

Цель работы: Знакомство с принципом работы мультиплексора и демультиплексора. Получение навыков работы по определению временных диаграмм и составлению таблиц соответствия.

Описание работы стенда

Лицевая панель стенда с помощью пунктирной линии разделена на четыре части, каждая часть подписана: MS1,MS2 – мультиплексоры,DMS1,DMS2 – демультиплексоры. Все переключатели в правом положении подают на входы микросхем логическую единицу, в левом – логический ноль. Все переключатели имеют обозначение:D- информационные входы, Х – входы адреса, С – разрешающие входы. Выходы микросхем обозначены буквойY. Цифры при буквах обозначают разряд (цифра больше – разряд старше и наоборот). На выходах микросхем установлены светодиоды.

Для включения стенда необходимо переключатель “Сеть” перевести в положение “Вкл.”, при этом должен загореться зеленый светодиод “Питание”

1) Рассмотрим работу мультиплексоров.

Рис.1 Четырехвходовой мультиплексор

Мультиплексор MS1 имеет четыре информационных входаD0 -D3, два адресных входа Х2, Х1 и вход разрешения С.

Например: подадим на вход D1 сигнал “1”. Чтобы он был скоммутирован на выходY, необходимо на входах адреса Х2 Х1 набрать двоичное число 01 и подать на разрешающий вход С = 0, так как стробирующий вход С мультиплексораMS1 с инверсией и активным является низкий уровень. В подтверждение правильности набранного адреса на выходеYзагорается светодиод.

Рис.2 Восьмивходовой мультиплексор

Мультиплексор MS2 работает аналогично мультиплексоруMS1, отличием является отсутствие входа разрешения С. Мультиплексор содержит восемь информационных входовD0 -D7 и три адресных входа Х1, Х2, Х3.

Например: для получения на выходе сигнала с информационного входа D5, необходимо на адресных входах Х3 Х2 Х1 набрать двоичное число 1 0 1. Если операция выполнена правильно, на выходеYзагорится светодиод.

2) Рассмотрим работу демультиплексоров.

Демультиплексор DMS1 содержит один информационный входD, два входа адреса Х2, Х1 и вход разрешения С.

Выходы Y0 -Y3 у демультиплексора с инверсией, поэтому при не работающем демультиплексоре, на всех его выходах будут гореть светодиоды, а при переключении входного сигнала на один из выходов светодиод на этом выходе погаснет.

Например: для получения сигнала на выходе Y2, необходимо на входDподать “1”, на вход С – “0” и на входах адреса Х2 Х1 набрать двоичное число 10. Светодиод на выходеY2 должен погаснуть.

Демультиплексор DMS2 содержит один информационный входD, три адресных входа Х1-Х3 и восемь выходовY0 -Y7. Он работает аналогичноDMS1. Например: для того, чтобы получить единичный сигнал на выходеY6, необходимо на входDподать “1”, а на входы адреса Х3 Х2 Х1 подать двоичное число 110 . Светодиод на выходеY6 должен погаснуть.

Таблицы переключательных функций

Таблица 1 – четырехразрядный мультиплексор

Двоичный код числа на входе мультиплексора

D0 D1 D2 D3

№ тактового импульса

Адресный вход Х2

Адресный вход Х1

Выход Y

0

1

1

0

1

0

0

0

2

0

1

1

3

1

0

1

4

1

1

0

Таблица 2 – восьмиразрядный мультиплексор

Двоичный код числа на входе мультиплексора

D7 D6 D5 D4 D3 D2 D1 D0

№ тактового импульса

Адресный вход Х3

Адресный вход Х2

Адресный вход Х1

Выход Y

1

0

0

1

1

1

0

0

1

0

0

0

0

2

0

0

1

0

3

0

1

0

1

4

0

1

1

1

5

1

0

0

1

6

1

0

1

0

7

1

1

0

0

8

1

1

1

1

Таблица 3 – четырехразрядный демультиплексор

Двоичный код числа на входе демультиплексора

D

№ тактового импульса

Адресный вход Х2

Адресный вход Х1

Выходы

Y0Y1Y2Y3

1

1

0

0

1

0

0

0

2

0

1

0

1

0

0

3

1

0

0

0

1

0

4

1

1

0

0

0

1

Таблица 4 – восьмиразрядный демультиплексор

Двоичный код числа на входе демультиплексора

D

№ тактового импульса

Адресный вход Х3

Адресный вход Х2

Адресный вход Х1

Выходы

Y0Y1Y2Y3Y4Y5Y6Y7

1

1

0

0

0

1

0

0

0

0

0

0

0

2

0

0

1

0

1

0

0

0

0

0

0

3

0

1

0

0

0

1

0

0

0

0

0

4

0

1

1

0

0

0

1

0

0

0

0

5

1

0

0

0

0

0

0

1

0

0

0

6

1

0

1

0

0

0

0

0

1

0

0

7

1

1

0

0

0

0

0

0

0

1

0

8

1

1

1

0

0

0

0

0

0

0

1

Временные диаграммы:

Четырехразрядный мультиплексор

Восьмиразрядный мультиплексор

Четырехразрядный демультиплексор

Восьмиразрядный демультиплексор

Вывод: в процессе выполнения лабораторной работы мы ознакомились с принципом работы мультиплексора и демультиплексора. Получили навыки работы по определению временных диаграмм и составлению таблиц соответствия.

studfiles.net

Комбинационные схемы. Мультиплексор. Увеличение разрядности мультиплексора. Реализация фал на мультиплексоре. Демультиплексор.

Комбинационные схемы — такие схемы, в которых значения сигналов на выходе определяется только входными переменными. Схемы такого рода, обычно, не имеют обратных связей. Памяти в таких схемах нет.

Полный мультиплексор

Сокращенный мультиплексор – когда информационных входов меньше, чем 2n.

Мультиплексор – коммутирующий элемент, который передает сигнал с одного из многих информационных входов на один единственный информационных выход под управлением селекторных (адресных, выбирающих) входов.

Логика работы – много в один.

Применение: коммутация, мультиплексирование.

Построим маленький мультиплексор:

. Если A0=0, то D0, если A0=1, то D1

Дополнение: Входы разрешения работы позволяют управлять устройством.

Логическая схема 4-х разрядного мультиплексора:

С использованием дешифратора:

Мультиплексор является базисом, причем он не требует дополнительных элементов.

Предположим, есть мультиплексор с n-адресными входами.

n – кол-во переменных, от которых зависит ф-я. 2n– кол-во входных наборов.

С входным программируемым набором (хранится в памяти). Функция меняется:

a b c

F(abc)

0

0 0 0

1

1

0 0 1

0

2

0 1 0

1

3

0 1 1

0

4

1 0 0

1

5

1 0 1

1

6

1 1 0

1

7

1 1 1

0

Основные свойства:

1. Коммутация.

2. Реализуется простейшими наборами элементов (И, ИЛИ).

3. Базис – позволяет реализовать любую логическую функцию от n перемных = колучеству адресных входов).

Увеличение разрядности мультиплексора

  1. Используется каскадирование и основан он на использовании входа разрешения E

  1. Пирамидальное каскадирование. С использованием только мультиплексора.

Демультиплексор – схема дешифратора, при организации “из одного в многое”. Демультиплексирование – обеспечение коммутации из одного входа в несколько.

Входы дешифратора несут нагрузку информационную – т.е. адрес передачи. В демультиплексоре: входы – адресы входов.

Задача демультиплексирования сводится к обеспечению коммутации “один-ко-многим”. Решается с помощью дешифратора с входом E.

Ai E

1 E = E – будет передано на тот выход, на котором единица

  1. Сумматоры. Одноразрядный комбинационный полусумматор. Варианты реализации и их сравнение.

Микросхемы сумматоров (английское Adder), как следует из их названия, предназначены для суммирования двух входных двоичных кодов, то есть выходной код будет равен арифметической сумме двух входных кодов.

Сумма двух двоичных чисел с числом разрядов N может иметь число разрядов (N + 1). Этот дополнительный (старший) разряд называется выходом переноса.

Помимо выходных разрядов суммы и выхода переноса, сумматоры имеют вход расширения (другое название – вход переноса) С для объединения нескольких сумматоров с целью увеличения разрядности. Если на этот вход приходит единица, то выходная сумма увеличивается на единицу, если же приходит нуль, то выходная сумма не увеличивается. Если используется одна микросхема сумматора, то на ее вход расширения С необходимо подать нуль.

Сумматоры

xi– первое слагаемое

yi – второе слагаемое

Pi-1 – перенос и предыдущего разряда

Si – разряд сумм

q – основание системы счисления

Pi – перенос в следующий разряд

Сложение и сдвиг – 2 операции, которыми можно заменить все остальные.

Полусумматорами называют устройства с двумя входами и двумя выходами, на которых вырабатываются сигналы суммы и переноса согласно формулам (см. формулы ниже для 3 случаев).

Сложение по модулю 2. Таблица истинности.

X

Y

S(x,y)

0

0

0

0

1

1

1

0

1

1

1

0

Одноразрядный комбинационный полусумматор

УГО полусумматора:

Схема 1:

Нет обработки предыдущего переноса.

Схема 2:

Схема 3:

Реализация на элементе «стрелка Пирса».

Параметры:

  1. однозначность элементов

  2. простота реализации

  3. быстродействие

  4. тепловыделение

studfiles.net

Курсовой – Мультиплексор

18

Оглавление

1. ФОРМУЛИРОВКА ЗАДАНИЯ 2

2. Схема 4-х разрядного мультиплексора 4→1 с тристабильными выходами 2

2.1. Общие сведения по работе 4-х разрядного мультиплексора 4→1 с тристабильными выходами 2

2.2. Описание работы мультиплексора 4→1 3

2.3. Описание работы 4-х разрядного мультиплексора 4→1 с тристабильными выходами 4

2.4. Проверка работы 4-х разрядного мультиплексора 4→1 с тристабильными выходами в САПР XILINX ISE 8.2i 5

3. Схема 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок intel) и обратно, с сигналом загрузки данных 9

3.1. Описание схемы 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных 9

3.2. Проверка работы схемы 32-рязрядного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных в САПР XILINX ISE 8.2i 12

ВыводЫ 18

1. ФОРМУЛИРОВКА ЗАДАНИЯ

Домашнее задание, Вариант № 11б:

1. Составить схемы:

– 4-х разрядного мультиплексора 41 с тристабильными выходами;

– 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных.

2. Построить временные диаграммы работы устройств, перечисленных в п.1. Для построения временных диаграмм выбрать необходимое количество контрольных точек.

3. Реализовать с использованием библиотечных элементов САПР XilinxISE8.2iперечисленные в п.1 схемы, представить их в виде интегрированных элементов.

4. Произвести моделирование работы элементов, полученных в п.3 и получить временные диаграммы, совпадающие с построенными в п.2.

5. Составить письменный отчет о проделанной работе по требуемой форме.

2. Схема 4-х разрядного мультиплексора 4→1 с тристабильными выходами

2.1. Общие сведения по работе 4-х разрядного мультиплексора 4→1 с тристабильными выходами

Мультиплексор – это комбинационная схема ЭВМ, имеющая один выход и несколько входов (информационных и управляющих), причём выходной сигнал формируется из сигнала на одном из входов в зависимости от комбинации сигналов на управляющих входах. Если управляющих входов n, то информационных входов 2ⁿ. Мультиплексор выполняет роль коммутатора информационных сигналов DI на один единственный выход DO.

Четырехразрядный мультиплексор 4→1с тристабильными выходами имеет 5 входных шин, по которым поступают информационные и управляющие сигналы, и одну выходную шину; входные шиныDI0,DI1,DI2,DI3 на которые поступают информационные сигналы; входная шинаAdrна которые поступают управляющие сигналы; входOE, на который поступает сигнал установки вZ-состояние; одна выходная шинаDO.

Устройство работает при подаче на вход OE «0» и устанавливается вZ-состояние при подаче «1».

Для построения четырехразрядного мультиплексора 4→1 сначала был построен мультиплексор 4→1 и на его основе был построен четырехразрядный мультиплексор 4→1 с добавлением тристабильных выходов.

2.2. Описание работы мультиплексора 4→1

Для построения мультиплексора 4→1 были использованы четыре элемента «И» с тремя входами и один элемент «ИЛИ» с четырьмя входами.

В таблице 2.1. приведена таблица истинности для мультиплексора 4→1.

Таблица 2.1

Таблица истинности мультиплексора 4→1

A0

A1

DI0

DI1

DI2

DI3

DO

0

0

DI0

x

x

x

DI0

0

1

x

DI1

x

x

DI1

1

0

x

x

DI2

x

DI2

1

1

x

x

x

DI3

DI3

Принципиальная схема мультиплексора 4→1 представлена на рис. 2.1.

Рис. 2.1. Схема мультиплексора 4→1

2.3. Описание работы 4-х разрядного мультиплексора 4→1 с тристабильными выходами

Для построения 4-х разрядного мультиплексора 4→1 с тристабильными выходами было использовано четыре мультиплексора 4→1 и четыре тристабильных элемента.

Принципиальная схема 4-х разрядного мультиплексора 4→1 с тристабильными выходами приведена на рис. 2.2.

Рис. 2.2. Схема 4-х разрядного мультиплексора 4→1

2.4. Проверка работы 4-х разрядного мультиплексора 4→1 с тристабильными выходами в САПР XILINX ISE 8.2i

Схема мультиплексора 4→1 представлена на рис. 2.3.

Рис 2.3. Схема мультиплексора 4→1

Схема 4-х разрядного мультиплексора 4→1 с тристабильными выходами показана на рис. 2.4.

Рис. 2.4. Схема 4-х разрядного мультиплексора 4→1 с тристабильными выходами

Из выше приведенной схемы был получен библиотечный элемент, из которого построили схему, представленную на рис. 2.5.

Рис. 2.5. 4-х разрядный мультиплексор 4→1 с тристабильными выходами

В результате моделирования работы устройства, представленного на рис. 2.5 были получены временные диаграммы, показанные на рис. 2.6.

Рис. 2.6. Диаграммы работы 4-х разрядного мультиплексора 4→1 с тристабильными выходами

3. Схема 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок intel) и обратно, с сигналом загрузки данных

3.1. Описание схемы 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных

Синхронная схема 32-разрядного преобразователя порядка следования байтов в слове из сетевого в узловой и обратно изменяет этот порядок таким образом, что первый байт слова становится четвёртым, а четвёртый первым и второй байт становится третьим, а третий становится четвёртым (и наоборот).

Возьмём для примера два 32-битных числа – 0XFFC3B2A7 и 0x21F2CE07, записанных в памяти одно за другим. В архитектурах, использующих сетевой порядок следования байтов «от старшего к младшему» содержание памяти будет выглядеть так: FF C3 B2 A7 21 F2 CE 07. В младших адресах памяти хранятся старшие байты чисел, т.е. в начале идёт старший байт, а заканчивается область памяти младшим байтом числа. И, наоборот, в архитектурах, где используется узловой порядок следования байтов «от младшего к старшему» содержимое памяти будет выглядеть так: A7 B2 C3 FF 07 CE F2 21.

Для реализации схемы необходимы четыре 8-разрядных регистра, построенных на базе FDCE триггеров и четыре 16-разрядных мультиплексора 2→1. Регистры отвечают за загрузку данных, а мультиплексоры за их преобразование. Объединим два 16-разрядных мультиплексора и два 8-рязрядных регистра в одну группу, отвечающую за преобразование одной пары байтов.

Создадим две 32-разрядные шины для входных сигналов на четыре регистра и выходных сигналов с мультиплексоров. Управление преобразованием будем осуществлять с помощью JK-триггера. Одновременно на входы J и K будем подавать сигнал «L» и на выходе при подаче синхросигнала на триггер будем получать сигнал «0» или «1», который и будет служить сигналом управления для мультиплексоров.

Для синхронизации работы схемы необходимо использовать синхросигнал. Для этого добавляем синхронизирующий сигнал «С» и подаем его на вход «C» каждого регистра и JK-триггера.

Добавим разрешающий сигнал «СЕ» для осуществления управления схемой. Подадим его на вход «CE» каждого регистра. При подаче на вход CE сигнала «1», данные будут загружены в регистры, если же на вход подать «0», то схема прекращает свою работу. Принципиальная схема 32-разрядного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных представлена на рис. 3.1.

Рис. 3.1. Схема 32-разрядного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных

3.2. Проверка работы схемы 32-рязрядного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных в САПР XILINX ISE 8.2i

При конструировании данной схемы будем использовать вместо синхронных D–триггеров более сложные FDCE триггеры с разрешающим входом (рис.3.2).

Рис.3.2 FDCE триггер

FDCE триггер входит в стандартный набор компонентов САПР XILINX и имеет четыре входа: D – информационный, CE – разрешающий, C – вход синхронизации и CLR – вход сброса; и один выход Q.

Также для построения схемы будем использовать мультиплексор 2→1 из стандартного набора компонентов САПР XILINX (рис 3.3.). С тремя входами: D0, D1, S0 и одним выходом – O. D0 и D1 – информационные входы, S0 – управляющий вход.

Рис.3.3. Мультиплексор 2→1

Построим 8-рязрядный регистр (рис 3.4.) и 16-рязрядный мультиплексор 2→1 (рис 3.5.) на базе элементов, представленных выше.

Рис.3.4. Схема 8-разрядного регистра на FDCE триггерах

Рис.3.5. Схема 16-разрядного мультиплексора 2→1

Из схем, представленных выше были получены библиотечные элементы 16-разрядного мультиплексора 2→1 и 8-разрядного регистра на FDCE триггерах. На их основе была построена схема элемента «CONV», представленная на рис. 3.6., который отвечает за преобразование двух байтов 32-разрядного слова.

Рис.3.6. Схема элемента «CONV»

Из схемы элемента «CONV» был получен библиотечный элемент, на основе которого была построена схема 32-разрядного синхронного преобразователя порядка следования байт в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных, представленная на рис. 3.7.

Рис.3.7. Схема 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных

Из выше приведенной схемы был получен библиотечный элемент, на основе которого была построена схема, представленная на рис. 3.8.

Рис.3.8. Схема 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных

В результате моделирования работы устройства, представленного на рис.3.8. были получены временные диаграммы, показанные на рис.3.9.

Рис.3.9. Диаграммы работы 32-разрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно, с сигналом загрузки данных

ВыводЫ

В результате работы был смоделирован мультиплексор 41 и 4-х разрядный мультиплексор 41 с тристабильными выходами, построенный на его основе. Также были получены временные диаграммы работы данных устройств, соответствующие таблицам истинности рассматриваемых устройств.

Была смоделирована схема 32-рязрядного синхронного преобразователя порядка следования байтов в слове из сетевого в узловой (порядок Intel) и обратно с сигналом загрузки данных. Были получены временные диаграммы, соответствующие теоретическому материалу.

studfiles.net

Мультиплексоры и демультиплексоры: схемы, принцип работы

Мультиплексором — называют комбинационное устройство, обеспечивающее передачу в желаемом порядке цифровой информации, поступающей по нескольким входам на один выход. Мультиплексоры обозначают через MUX (от англ. multiplexor), а также через MS (от англ. multiplex or selector).

Схематически мультиплексор можно изобразить в виде коммутатора, обеспечивающего подключение одного из нескольких входов (их называют информационными) к одному выходу устройства. Кроме информационных входов в мультиплексоре имеются адресные входы и, как правило, разрешающие (стробирующие). Сигналы на адресных входах определяют, какой конкретно информационный канал подключен к выходу. Если между числом информационных входов n и числом адресных входов m действует соотношение n = 2m, то такой мультиплексор называют полным. Если n< 2m, то мультиплексор называют неполным.

Разрешающие входы используют для расширения функциональных возможностей мультиплексора. Они используются для наращивания разрядности мультиплексора, синхронизации его работы с работой других узлов. Сигналы на разрешающих входах могут разрешать, а могут и запрещать подключение определенного входа к выходу, т. е. могут блокировать действие всего устройства.

Функционирование двухвходового мультиплексора

Рассмотрим функционирование двухвходового мультиплексора (2 →1), который условно изображен в виде коммутатора, а состояние его входов Х1Х2 и выхода Y приведено в таблице (рис. 3.41). 

Исходя из таблицы, можно записать следующее уравнение:

Y = X1A + X2A

На рис. 3.42 показаны реализация такого устройства и его условное графическое обозначение.

Основой данной схемы являются две схемы совпадения на элементах И, которые при логическом уровне «1» на одном из своих входов повторяют на выходе то, что есть на другом входе.

Если необходимо расширить число входов, то используют каскадное включение мультиплексоров. В качестве примера рассмотрим мультиплексор с четырьмя входами (4 → 1), построенный на основе мультиплексоров (2 → 1).

Схема и таблица состояний такого мультиплексора приведены на рис.3.43.


Мультиплексоры являются универсальными логическими устройствами, на основе которых создают различные комбинационные и последовательностные схемы. Мультиплексоры могут использоваться в делителях частоты, триггерных устройствах, сдвигающих устройствах и др. Мультиплексоры часто используют для преобразования параллельного двоичного кода в последовательный. Для такого преобразования достаточно подать на информационные входы мультиплексора параллельный двоичный код, а сигналы на адресные входы подавать в такой последовательности, чтобы к выходу поочередно подключались входы, начиная с первого и кончая последним.

Мультиплексор как устройство сдвига

Рассмотрим пример использования мультиплексоров для реализации так называемого комбинационного устройства сдвига, обеспечивающего сдвиг двоичного, числа по разрядам. Принцип функционирования данного устройства понятен из схемы устройства и таблицы состояний его входов и выходов (рис. 3.44). 


В обозначении мультиплексоров используют две русские буквы КП, например, промышленностью выпускаются такие мультиплексоры, как К155КП1, К531КШ8, К561КПЗ, К555КП17 и др.

Демультиплексором называют устройство, в котором сигналы с одного информационного входа, поступают в желаемой последовательности по нескольким выходам в зависимости от кода на адресных шинах. Таким образом, демультиплексор в функциональном отношении противоположен мультиплексору. Демультиплексоры обозначают через DMX или DMS.

Если соотношение между числом выходов n и числом адресных входов m определяется равенством n= 2m, то такой демультиплексор называется полным, при n< 2демультиплексор является неполным.

Функционирование демультиплексора с двумя выходами

Рассмотрим функционирование демультиплексора с двумя выходами, который условно изображен в виде коммутатора, а состояние его входов и выходов приведено в таблице (рис. 3.45).

Из этой таблицы следует: Y1=X·А Y2 = X·А  т. е. реализовать такое устройство можно так, как показано на рис. 3.46.


Для наращивания числа выходов демультиплексора используют каскадное включение демультиплексоров. В качестве примера (рис. 3.47) рассмотрим построение демультиплексоров с 16 выходами (1 → 16) на основе демультиплексоров с 4 выходами (1 → 4).

При наличии на адресных шинах А0 и А1 нулей информационный вход X подключен к верхнему выходу DМХ0 и в зависимости от состояния адресных шин А2 и А3 он может быть подключен к одному из выходов DMX1. Так, при А2 = А3 = 0 вход X подключен к Y0. При А0 = 1 и А1 = 0 вход X подключен к DMX2, в зависимости от состояния А2 и А3 вход соединяется с одним из выходов Y4 − Y7 и т.д.

Функции демультиплексоров

Функции демультиплексоров сходны с функциями дешифраторов. Дешифратор можно рассматривать как демультиплексор, у которого информационный вход поддерживает напряжение выходов в активном состоянии, а адресные входы выполняют роль входов дешифратора. Поэтому в обозначении как дешифраторов, так и демультиплексоров используются одинаковые буквы — ИД. Выпускают дешифраторы (демультиплексоры) К155ИДЗ, К531ИД7 и др.

При использовании КМОП-технологии можно построить двунаправленные ключи, которые обладают возможностью пропускать ток в обоих направлениях и передавать не только цифровые, но и аналоговые сигналы. Благодаря этому можно строить мультиплексоры-демультиплек-соры, которые могут использоваться либо как мультиплексоры, либо как демультиплексоры. Мультиплексоры-демультиплексоры обозначаются через MX. Среди выпускаемых мультиплексоров-демультиплексоров можно выделить такие, как К564КП1, К590КП1. Мультиплексоры-демультиплексоры входят в состав серий К176, К561, К591, К1564.

pue8.ru

что это такое? Схема применения оптического модуля

На сегодняшний день приобретение дополнительной техники или специальных устройств является достаточно дорогим удовольствием. Для того, чтобы сохранить свои финансовые затраты, довольно часто используют такие устройства, как мультиплексор и демультиплексор, которые являются своеобразными селекторами данных.

В случае с мультиплексором есть возможность через один выход пропустить информацию с нескольких входов. А демультиплексор действует с точностью наоборот – распределяет полученные данные с одного входа на разные выходы.

Мультиплексор представляет собой такое оборудование, которое содержит в себе несколько входов сигнала, один или несколько входов управления и лишь один общий выход. Данное устройство дает возможность передавать определенный канал из одного из имеющихся входов на специальный и единственный выход.

При всем этом выбирается вход с помощью подачи определенной комбинации сигналов управления. Чаще всего мультиплексор необходим там, где нужно обустраивать для передачи сигналов большое количество каналов (сигналов), а денег и технического оснащения для этого нет.

Работоспособность данного типа устройства основана на том, что сигнал связи, даже в случае, если он один, очень часто не применяется на всю мощность. По этой причине имеется лишнее место для запуска других потоков информации по одной линии.

Разумеется, что если все эти потоки пускаются в изначальном виде и в одно и то же время, то на выходе получится обычная мешанина информационных данных, которую будет практически нереально расшифровать. Из-за этого мультиплексор производится при помощи разделения потоков информации разнообразными методами.

Разделение по частотным полосам – это когда все потоки данных идет в одно и то же время, но с разной частотой. При этом не происходит смешивание потоков. Кроме этого, есть возможность пустить потоки в различных временных линиях. Также особо популярным является способ кодирования. В этом случае все потоки обозначаются специальными знаками, кодируются и одновременно отправляются.

Мультиплексоры классифицируют по нескольким критериям: по месту использования или по своим целевым задачам и так далее.

Линия связи мультиплексора и демультиплексора

Основным различием мультиплексоров считается то, каким образом происходит уплотнение сигналов в один сплошной поток.

Мультиплексирование бывает таких видов:

  • временного характера;
  • пространственного типа;
  • кодовым;

Как правило, если каналы являются проводными, то в применении актуальны первые два метода, а для беспроводных каналов применяются все четыре варианта. Обычно, если речь идет о мультиплексоре, то подразумевается проводное устройство. 

По этой причине стоит более подробно ознакомиться с частотным и временным методами:

Методы мультиплексирования

Частотное мультиплексирование и демультиплексирование

Чтобы исполнить частотное мультиплексирование необходимо для всех потоков определить определенный частотный период. Перед самим процессом нужно переместить спектра всех каналов, что входят в период иной частоты, что не будет никак пересекаться с иными сигналами. Кроме того, для обеспечения надежности, меж частотами делают определенные интервалы для дополнительной защиты. Данный метод применяют и в электрических, и в оптических связных линиях.

Временной вариант

Временное мультиплексирование и демультиплексирование

Чтобы передать каждый сигнал в сплошном потоке, что входит, имеется определенное количество времени. В этом случае, перед устройством стоит особая задача — гарантировать доступ циклов к общей среде перенаправления для потоков, которые входят на маленький временной промежуток.

При этом необходимо сделать так, чтобы не возникло нежелательное накладывание каналов друг на друга, которое смешивает информацию. Для этого используют специальные интервалы для защиты, которые ставят меж этими самыми каналами.

Этот способ используют, как правило, для цифровых связных каналов.

Классификация мультиплексоров

Мультиплексоры существуют таких видов:

  1. Терминальные. Их размещают на концах связных линий.
  2. Ввода и вывода. Такие устройства встраивают в разрыв связных линий, чтобы из сплошного потока выводить определенные сигналы. При их помощи можно обойтись без дорогостоящих мультиплексоров терминального типа.

Также мультиплексоры классифицируются таким способом:

Аналоговые мультиплексоры

Ключи аналогового типа являются специальными аналого-дискретными элементами. Аналоговый ключ может быть представлен в качестве отдельно взятого устройства. Набор такого рода ключей, которые работают на единственный выход с цепями выборки определенного ключа, являются специальным аналоговым мультиплексором. Аналоговое оборудование в каждый период времени выбирает определенный входной канал и направляет его на специальное устройство

Цифровые мультиплексоры

Цифровые оборудования делятся на мультиплексоры второго, первого и иных высоких уровней. Цифровые мультиплексоры дают возможность принимать сигналы цифрового типа из устройств низкого уровня. При этом можно их записать, образовать цифровое течение высокого уровня. Таким образом, входящие потоки синхронизируются. Также можно отметить, что они обладают одинаковыми скоростями.

Области применения

Видеомультиплексоры применяют в телевизионной технике и различных дисплеях, в системах охранного видеонаблюдения. На мультиплексировании базируется GSM-связь и разнообразные входные модемы провайдеров в интернете. Также данные устройства применяют в GPS-приемниках, в волоконно-оптических связных линиях широкополосного типа.

Мультиплексоры используют в различных делителях частоты, специальных триггерных элементах, особых сдвигающихся устройствах и так далее. Их могут применять для того, чтобы преобразовать определенный параллельный двоичный код в последовательный.

Схема применения оптического мультиплексора

Структура мультиплексора

Мультиплексор состоит из специального дешифратора адреса входной линии каналов, разнообразных схем, в том числе и схемы объединения.

Структуру мультиплексора можно рассмотреть на примере его общей схемы. Входные данные логического типа поступают на выходы коммутатора, и далее через него направляются на выход. На вход управления подается слова адресных каналов. Само устройство тоже может обладать специальным входом управления, который дает возможность проходить или не проходить входному каналу на выход.

Существуют типы мультиплексоров, которые обладают выходом с тремя состояниями. Все нюансы работы мультиплексора зависят от его модели.

Демультиплексор

Демультиплексор представляет собой логическое устройство, которое предназначено для того, чтобы свободно переключать сигнал с одного входа информации на один из имеющихся информационных выходов. На деле демультиплексор является противоположностью мультиплексору.

Во время передачи данных по общему сигналу с разделением по временному ходу необходимо как использование мультиплексоров, так и применение демультиплексоров, то есть прибор обратного функционального назначения. Это устройство распределяет информационные данные из одного сигнала между несколькими приемниками данных.

Особым отличием данного типа устройства от мультиплексоров считается то, что есть возможность обледенить определенное количество входов в один, не применяя при этом дополнительных схем. Но для того, чтобы увеличить нагрузку микросхемы, на выходе устройства для увеличения входного канала рекомендуется установить специальный инвертор.

В схеме самого простого такого устройства для определенного выхода применяется двоичный дешифратор. Стоит отметить, что при подробном изучении дешифратора, можно сделать демультиплексор гораздо проще. Для этого необходимо ко всем логическим элементам, которые входят в структуру дешифратора прибавить еще вход. Данную структуру достаточно часто называют дешифратором, который имеет вход разрешения работы.

На что следует обратить внимание при выборе мультиплексора?

  1. Какие камеры используются – черно-белые, цветные?
  2. Общее количество камер, которое возможно подключить к устройству.
  3. Тип мультиплексора.
  4. Разрешение устройства.
  5. Наличие детектора, определяющего движение.
  6. Можно ли подключить второй экран монитора?

При выборе мультиплексора или демультиплексора необходимо учитывать все нюансы и технические характеристики устройства.

hqsignal.ru

Оставить комментарий